35 set infile [open "system_defines.vh" r]
37 while { [
gets $infile line] >= 0 } {
38 if { [regexp {(.*)`define(\s*)HISPI} $line matched prematch] } {
39 if {[regexp "//" $prematch] != 0} { continue}
46 puts "using HISPI sensors"
48 puts "using parallel sensors"
52 create_clock -name axi_aclk -period 20 [get_nets -hierarchical *axi_aclk]
54 create_generated_clock -name ddr3_sdclk [get_nets -hierarchical sdclk_pre]
55 create_generated_clock -name ddr3_clk [get_nets -hierarchical clk_pre]
56 create_generated_clock -name ddr3_clk_div [get_nets -hierarchical clk_div_pre]
57 create_generated_clock -name ddr3_mclk [get_nets -hierarchical mclk_pre]
58 create_generated_clock -name ddr3_clk_ref [get_nets clocks393_i/dly_ref_clk_pre]
59 create_generated_clock -name axihp_clk [get_nets clocks393_i/hclk_pre]
60 create_generated_clock -name xclk [get_nets clocks393_i/xclk_pre]
62 create_generated_clock -name sclk [get_nets clocks393_i/sync_clk_pre]
63 create_clock -name ffclk0 -period 41.667 [get_ports {ffclk0p}]
66 create_generated_clock -name pclk [get_nets clocks393_i/dual_clock_pclk_i/clk1x_pre]
68 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets sensors393_i/sensor_channel_block\[0\].sensor_channel_i/sens_10398_i/sens_hispi12l4_i/sens_hispi_clock_i/clk_in]
69 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets sensors393_i/sensor_channel_block\[1\].sensor_channel_i/sens_10398_i/sens_hispi12l4_i/sens_hispi_clock_i/clk_in]
70 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets sensors393_i/sensor_channel_block\[2\].sensor_channel_i/sens_10398_i/sens_hispi12l4_i/sens_hispi_clock_i/clk_in]
71 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets sensors393_i/sensor_channel_block\[3\].sensor_channel_i/sens_10398_i/sens_hispi12l4_i/sens_hispi_clock_i/clk_in]
75 create_generated_clock -name iclk0 [get_nets sensors393_i/sensor_channel_block\[0\].sensor_channel_i/sens_parallel12_i/ipclk_pre]
76 create_generated_clock -name iclk2x0 [get_nets sensors393_i/sensor_channel_block\[0\].sensor_channel_i/sens_parallel12_i/ipclk2x_pre]
78 create_generated_clock -name iclk1 [get_nets sensors393_i/sensor_channel_block\[1\].sensor_channel_i/sens_parallel12_i/ipclk_pre]
79 create_generated_clock -name iclk2x1 [get_nets sensors393_i/sensor_channel_block\[1\].sensor_channel_i/sens_parallel12_i/ipclk2x_pre]
81 create_generated_clock -name iclk2 [get_nets sensors393_i/sensor_channel_block\[2\].sensor_channel_i/sens_parallel12_i/ipclk_pre]
82 create_generated_clock -name iclk2x2 [get_nets sensors393_i/sensor_channel_block\[2\].sensor_channel_i/sens_parallel12_i/ipclk2x_pre]
84 create_generated_clock -name iclk3 [get_nets sensors393_i/sensor_channel_block\[3\].sensor_channel_i/sens_parallel12_i/ipclk_pre]
85 create_generated_clock -name iclk2x3 [get_nets sensors393_i/sensor_channel_block\[3\].sensor_channel_i/sens_parallel12_i/ipclk2x_pre]
87 set_clock_groups -name sensor0_clocks_iclk_pclk2x -asynchronous -group {iclk0 iclk2x0}
88 set_clock_groups -name sensor1_clocks_iclk_pclk2x -asynchronous -group {iclk1 iclk2x1}
89 set_clock_groups -name sensor2_clocks_iclk_pclk2x -asynchronous -group {iclk2 iclk2x2}
90 set_clock_groups -name sensor3_clocks_iclk_pclk2x -asynchronous -group {iclk3 iclk2x3}
93 set_clock_groups -name compressor_clocks_xclk_xclk2x -asynchronous -group {xclk }
94 set_clock_groups -name sensor_clocks_pclk_pclk2x -asynchronous -group {pclk}
95 set_clock_groups -name sync_logger_clocks_sclk -asynchronous -group {sclk }
98 set_clock_groups -name ps_async_clock -asynchronous -group {axi_aclk}
99 set_clock_groups -name ps_async_clock_axihp -asynchronous -group {axihp_clk}
101 set_clock_groups -name external_clock_ffclk0 -asynchronous -group {ffclk0}