apps/sctl/imconf_save.php

Go to the documentation of this file.
00001 <?php
00002 $state_template=array(
00003 //      "NUMBER" => 1024,
00004 //    "UPDATE" => 0,
00005 //    "SENSOR" => 1,
00006 //    "PARS_CHANGED" => 2,
00007 //    "DMA_VALID" => 3,
00008     "ACTUAL_WIDTH" => 4,
00009     "ACTUAL_HEIGHT" => 5,
00010 //    "LPR" => 6,
00011 //    "IMAGE_SIZE" => 7,
00012     "BAYER" => 8,
00013 //    "TRIGGERED" => 9,
00014 //    "PERIOD" => 10,
00015 //    "FRAME" => 11,
00016 //    "JPEG_WP" => 12,
00017 //    "CLK_FPGA" => 13,
00018 //    "CLK_SENSOR" => 14,
00019 //    "FPGA_XTRA" => 15,
00020 //    "TRIG" => 16,
00021     "EXPOS" => 17,
00022 //    "BGFRAME" => 18,
00023 //    "PAGE_ACQ" => 19,
00024 //    "PAGE_READ" => 20,
00025 //    "OVERLAP" => 21,
00026 //    "VIDEO" => 22,
00027 //    "AUXCM" => 23,
00028     "VIRT_WIDTH" => 24,
00029     "VIRT_HEIGHT" => 25,
00030     "WOI_LEFT" => 26,
00031     "WOI_TOP" => 27,
00032     "WOI_WIDTH" => 28,
00033     "WOI_HEIGHT" => 29,
00034     "FLIP" => 30,
00035     "FPSLM" => 31,
00036     "DCM_HOR" => 32,
00037     "DCM_VERT" => 33,
00038     "BIN_HOR" => 34,
00039     "BIN_VERT" => 35,
00040     "COLOR" => 36,
00041 //    "FRAMESYNC_DLY" => 37,
00042 //    "MCLK" => 38,
00043 //    "PF_HEIGHT" => 39,
00044     "BITS" => 40,
00045     "SHIFTL" => 41,
00046     "FPNS" => 42,
00047     "FPNM" => 43,
00048     "VEXPOS" => 44,
00049     "VIRTTRIG" => 45,
00050     "GAINR" => 46,
00051     "GAING" => 47,
00052     "GAINB" => 48,
00053     "GAINGB" => 49,
00054     "FATZERO" => 50,
00055 //    "SPEC_KAC_GGA" => 51,
00056 //    "SPEC_KAC_GGB" => 52,
00057 //    "SPEC_KAC_GGM" => 53,
00058     "GAIN" => 51,
00059     "RSCALE" => 52,
00060     "BSCALE" => 53,
00061     "QUALITY" => 54,
00062     "FP100S" => 55,
00063 //    "SENSOR_WIDTH" => 56,
00064 //    "SENSOR_HEIGHT" => 57,
00065     "COLOR_SATURATION_BLUE" => 58,
00066     "COLOR_SATURATION_RED" => 59,
00067     "GAMMA" => 60,
00068     "PIXEL_LOW" => 61,
00069     "PIXEL_HIGH" => 62,
00070 //    "DONTCARE" => 63,
00071 //    "PGMSENSRSLT" => 64,
00072 //    "CAMSEQSTATE" => 65,
00073 //    "CAMSEQCOUNT" => 66,
00074 //    "CIRCBUFSIZE" => 67,
00075 //    "FREECIRCBUF" => 68,
00076 //    "CIRCBUFWP" => 69,
00077 //    "CIRCBUFRP" => 70,
00078 //    "TILES" => 128,
00079 //    "SENSOR_PHASE" => 513,
00080 //    "SECONDS" => 71,
00081 //    "MICROSECONDS" => 72,
00082 //    "TILES" => 73,
00083 //    "SENSOR_PHASE" => 74,
00084 //    "GSCALE" => 75,
00085     "AUTOEXP_ON" => 76,
00086     "HISTWND_RWIDTH" => 77,
00087     "HISTWND_RHEIGHT" => 78,
00088     "HISTWND_RLEFT" => 79,
00089     "HISTWND_RTOP" => 80,
00090     "AUTOEXP_EXP_MAX" => 81,
00091     "AUTOEXP_OVEREXP_MAX" => 82,
00092     "AUTOEXP_S_PERCENT" => 83,
00093     "AUTOEXP_S_INDEX" => 84,
00094     "AUTOEXP_EXP" => 85,
00095     "AUTOEXP_SKIP_PMIN" => 86,
00096     "AUTOEXP_SKIP_PMAX" => 87,
00097     "AUTOEXP_SKIP_T" => 88,
00098     "HISTWND_WIDTH" => 89,
00099     "HISTWND_HEIGHT" => 90,
00100     "HISTWND_TOP" => 91,
00101     "HISTWND_LEFT" => 92
00102 );
00103 $rez=elphel_get_P_arr($state_template);
00104 //echo $rez;
00105 //echo "<br />";
00106 reset($rez);
00107 $conf_rez = fopen("/etc/image_settings.conf", "w+");
00108 while(list($key, $val) = each($rez)) {
00109 //      echo "$key => $val<br />";
00110         $line = "$key=$val\n";
00111         fwrite($conf_rez, $line);
00112 }
00113 fclose($conf_rez);
00114 sleep(2);
00115 copy("/var/state/streamer.conf", "/etc/streamer.conf");
00116 exec("sync");
00117 ?>

Generated on Fri Nov 28 00:06:22 2008 for elphel by  doxygen 1.5.1